Thứ Bảy, 9 tháng 8, 2014

Hướng dẫn dùng ModelSim để viết code Verilog và mô phỏng Verilog Testbench

Code mẫu cho bài mô phỏng
1-code verilog

module congAND(a,b,y);
  input a,b;
  output y;
  assign y=a&b;
endmodule

2-code verilog testbench

module congAND_tb;
  wire ty;
  reg ta,tb;
congAND mophong(.a(ta),.b(tb),.y(ty));
initial
begin
  $monitor(ta,tb,ty);
  #20
  ta=0;
  tb=0;
  #20
  ta=0;
  tb=1;
  #20
  ta=1;
  tb=0;
  #20
  ta=1;
  tb=1;
end
endmodule


Các bước viết code và mô phỏng





















1 nhận xét:

  1. cho e hỏi là: e viết được code test và code testbench rồi, cho chạy thì không có lỗi, đã hiện 2 dấu tít ok, ,à nhấn sang library để mô phỏng lại không được, báo trong mục work là empty
    em cảm ơn

    Trả lờiXóa